Follow
Tor M. Aamodt
Tor M. Aamodt
Professor, Electrical and Computer Engineering, University of British Columbia
Verified email at ece.ubc.ca - Homepage
Title
Cited by
Cited by
Year
Analyzing CUDA Workloads Using a Detailed GPU Simulator
A Bakhoda, GL Yuan, WWL Fung, H Wong, TM Aamodt
Performance Analysis of Systems and Software, 2009. ISPASS 2009. IEEE …, 2009
20762009
Cnvlutin: Ineffectual-neuron-free deep neural network computing
J Albericio, P Judd, T Hetherington, T Aamodt, NE Jerger, A Moshovos
ACM SIGARCH Computer Architecture News 44 (3), 1-13, 2016
8972016
GPUWattch: Enabling Energy Optimizations in GPGPUs
J Leng, T Hetherington, A ElTantawy, S Gilani, NS Kim, TM Aamodt, ...
Proceedings of the 40th Annual International Symposium on Computer …, 2013
7622013
Dynamic Warp Formation and Scheduling for Efficient GPU Control Flow
WWL Fung, I Sham, G Yuan, TM Aamodt
Proceedings of the 40th Annual IEEE/ACM International Symposium on …, 2007
6412007
Stripes: Bit-serial deep neural network computing
P Judd, J Albericio, T Hetherington, TM Aamodt, A Moshovos
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
5572016
Cache-Conscious Wavefront Scheduling
TG Rogers, M O'Connor, TM Aamodt
Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on …, 2012
5472012
Thread Block Compaction for Efficient SIMT Control Flow
WWL Fung, TM Aamodt
High Performance Computer Architecture (HPCA), 2011 IEEE 17th International …, 2011
2722011
Accel-Sim: An Extensible Simulation Framework for Validated GPU Modeling
M Khairy, Z Shen, TM Aamodt, TG Rogers
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
2282020
Cache Coherence for GPU Architectures.
I Singh, A Shriraman, WWL Fung, M O'Connor, TM Aamodt
High Performance Computer Architecture (HPCA), 2013 IEEE 19th International …, 2013
2122013
Throughput-effective on-chip networks for manycore accelerators
A Bakhoda, J Kim, TM Aamodt
2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 421-432, 2010
2072010
Divergence-Aware Warp Scheduling
TG Rogers, M O'Connor, TM Aamodt
Proceedings of the 46th Annual IEEE/ACM International Symposium on …, 2013
1922013
Complexity effective memory access scheduling for many-core accelerator architectures
GL Yuan, A Bakhoda, TM Aamodt
Proceedings of the 42nd Annual IEEE/ACM International Symposium on …, 2009
1642009
Characterizing and evaluating a key-value store application on heterogeneous CPU-GPU systems
TH Hetherington, TG Rogers, L Hsu, M O'Connor, TM Aamodt
2012 IEEE International Symposium on Performance Analysis of Systems …, 2012
1482012
Hardware Transactional Memory for GPU Architectures
WWL Fung, I Singh, A Brownsword, TM Aamodt
Proceedings of the 44th Annual IEEE/ACM International Symposium on …, 2011
1362011
Reduced-Precision Strategies for Bounded Memory in Deep Neural Nets
P Judd, J Albericio, T Hetherington, T Aamodt, NE Jerger, R Urtasun, ...
arXiv preprint arXiv:1511.05236, 2015
1322015
Proteus: Exploiting numerical precision variability in deep neural networks
P Judd, J Albericio, T Hetherington, TM Aamodt, NE Jerger, A Moshovos
Proceedings of the 2016 International Conference on Supercomputing, 1-12, 2016
1262016
Speculative multi-threading for instruction prefetch and/or trace pre-build
H Wang, TM Aamodt, P Marcuello, JW Stark IV, JP Shen, A González, ...
US Patent 7,814,469, 2010
1212010
Speculative multi-threading for instruction prefetch and/or trace pre-build
H Wang, TM Aamodt, P Marcuello, JW Stark IV, JP Shen, A González, ...
US Patent 7,814,469, 2010
1212010
A first-order fine-grained multithreaded throughput model
XE Chen, TM Aamodt
2009 IEEE 15th International Symposium on High Performance Computer …, 2009
1172009
Dynamic warp formation: Efficient MIMD control flow on SIMD graphics hardware
WWL Fung, I Sham, G Yuan, TM Aamodt
ACM Transactions on Architecture and Code Optimization (TACO) 6 (2), 1-37, 2009
1122009
The system can't perform the operation now. Try again later.
Articles 1–20