Follow
Dean Tullsen
Dean Tullsen
Department of Computer Science and Engineering, UC San Diego
Verified email at ucsd.edu - Homepage
Title
Cited by
Cited by
Year
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
S Li, JH Ahn, RD Strong, JB Brockman, DM Tullsen, NP Jouppi
Proceedings of the 42nd annual ieee/acm international symposium on …, 2009
32302009
Simultaneous multithreading: Maximizing on-chip parallelism
DM Tullsen, SJ Eggers, HM Levy
Proceedings of the 22nd annual international symposium on Computer …, 1995
23891995
Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor
DM Tullsen, SJ Eggers, JS Emer, HM Levy, JL Lo, RL Stamm
Proceedings of the 23rd annual international symposium on Computer …, 1996
12221996
Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
R Kumar, KI Farkas, NP Jouppi, P Ranganathan, DM Tullsen
Proceedings. 36th Annual IEEE/ACM International Symposium on …, 2003
11092003
Symbiotic jobscheduling for a simultaneous multithreaded processor
A Snavely, DM Tullsen
Proceedings of the ninth international conference on Architectural support …, 2000
9932000
Single-ISA heterogeneous multi-core architectures for multithreaded workload performance
R Kumar, DM Tullsen, P Ranganathan, NP Jouppi, KI Farkas
ACM SIGARCH Computer Architecture News 32 (2), 64, 2004
8822004
Simultaneous multithreading: A platform for next-generation processors
SJ Eggers, JS Emer, HM Levy, JL Lo, RL Stamm, DM Tullsen
IEEE micro 17 (5), 12-19, 1997
7141997
Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling
R Kumar, V Zyuban, DM Tullsen
32nd International Symposium on Computer Architecture (ISCA'05), 408-419, 2005
6482005
Heterogeneous chip multiprocessors
R Kumar, DM Tullsen, NP Jouppi, P Ranganathan
Computer 38 (11), 32-38, 2005
5012005
Speculative precomputation: Long-range prefetching of delinquent loads
JD Collins, H Wang, DM Tullsen, C Hughes, YF Lee, D Lavery, JP Shen
ACM SIGARCH Computer Architecture News 29 (2), 14-25, 2001
4942001
Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading
JL Lo, JS Emer, HM Levy, RL Stamm, DM Tullsen, SJ Eggers
ACM Transactions on Computer Systems (TOCS) 15 (3), 322-354, 1997
3811997
Handling long-latency loads in a simultaneous multithreading processor
DM Tullsen, JA Brown
Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture …, 2001
3352001
Selective value prediction
B Calder, G Reinman, DM Tullsen
Proceedings of the 26th annual international symposium on computer …, 1999
3301999
Core architecture optimization for heterogeneous chip multiprocessors
R Kumar, DM Tullsen, NP Jouppi
Proceedings of the 15th international conference on Parallel architectures …, 2006
3072006
Dynamic speculative precomputation
JD Collins, DM Tullsen, H Wang, JP Shen
Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture …, 2001
3002001
Managing distributed ups energy for effective power capping in data centers
V Kontorinis, LE Zhang, B Aksanli, J Sampson, H Homayoun, E Pettis, ...
ACM SIGARCH Computer Architecture News 40 (3), 488-499, 2012
2702012
The McPAT framework for multicore and manycore architectures: Simultaneously modeling power, area, and timing
S Li, JH Ahn, RD Strong, JB Brockman, DM Tullsen, NP Jouppi
ACM Transactions on Architecture and Code Optimization (TACO) 10 (1), 1-29, 2013
2592013
Symbiotic jobscheduling with priorities for a simultaneous multithreading processor
A Snavely, DM Tullsen, G Voelker
Proceedings of the 2002 ACM SIGMETRICS international conference on …, 2002
2512002
Simulation and modeling of a simultaneous multithreading processor
DM Tullsen
The 1996 22 nd International Conference for the Resource Management …, 1996
2421996
Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices
CG Quiñones, C Madriles, J Sánchez, P Marcuello, A González, ...
ACM Sigplan Notices 40 (6), 269-279, 2005
2402005
The system can't perform the operation now. Try again later.
Articles 1–20