追蹤
Evangeline F.Y. Young
Evangeline F.Y. Young
在 cse.cuhk.edu.hk 的電子郵件地址已通過驗證 - 首頁
標題
引用次數
引用次數
年份
Leadership and ambiguity: The American college president.
MD Cohen, JG March
McGraw-Hill Book Company, Hightstown, New Jersey 08520, 1974
47631974
Handbook of algorithms for physical design automation
CJ Alpert, DP Mehta, SS Sapatnekar
CRC press, 2008
3632008
Ripple: An effective routability-driven placer by iterative cell movement
X He, T Huang, L Xiao, H Tian, G Cui, EFY Young
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 74-79, 2011
155*2011
GAN-OPC: Mask optimization with lithography-guided generative adversarial nets
H Yang, S Li, Y Ma, B Yu, EFY Young
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
1502018
Layout hotspot detection with feature tensor generation and deep biased learning
H Yang, J Su, Y Zou, B Yu, EFY Young
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
1432017
Fast and accurate estimation of quality of results in high-level synthesis with machine learning
S Dai, Y Zhou, H Zhang, E Ustun, EFY Young, Z Zhang
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom …, 2018
1182018
Twin binary sequences: A non-redundant representation for general non-slicing floorplan
EFY Young, CCN Chu, C Shen
Proceedings of the 2002 international symposium on Physical design, 196-201, 2002
1152002
Integrated floorplanning and interconnect planning
HM Chen, MDF Wong, H Zhou, FY Young, HH Yang, N Sherwani
Layout optimization in VLSI design, 1-18, 2001
1062001
An efficient layout decomposition approach for triple patterning lithography
J Kuang, EFY Young
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
1052013
Enabling online learning in lithography hotspot detection with information-theoretic feature optimization
H Zhang, B Yu, EFY Young
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2016
942016
Simultaneous handling of symmetry, common centroid, and general placement constraints
Q Ma, L Xiao, YC Tam, EFY Young
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
942010
Dr. CU 2.0: A scalable detailed routing framework with correct-by-construction design rule satisfaction
H Li, G Chen, B Jiang, J Chen, EFY Young
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2019
84*2019
Slicing floorplans with boundary constraints
FY Young, DF Wong, HH Yang
IEEE transactions on computer-aided design of integrated circuits and …, 1999
831999
Analog placement with symmetry and other placement constraints
YC Tam, EFY Young, C Chu
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
742006
Routability driven floorplanner with buffer block planning
CW Sham, EFY Young
Proceedings of the 2002 international symposium on Physical design, 50-55, 2002
682002
Slicing floorplans with range constraint
FY Young, DF Wong
Proceedings of the 1999 international symposium on Physical design, 97-102, 1999
661999
Analog placement with common centroid constraints
Q Ma, EFY Young, KP Pun
2007 IEEE/ACM International Conference on Computer-Aided Design, 579-585, 2007
642007
Clock-aware ultrascale FPGA placement with machine learning routability prediction
CW Pui, G Chen, Y Ma, EFY Young, B Yu
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 929-936, 2017
632017
Obstacle-avoiding rectilinear Steiner minimum tree construction: An optimal approach
T Huang, EFY Young
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 610-613, 2010
63*2010
Placement constraints in floorplan design
EFY Young, CCN Chu, ML Ho
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 12 (7), 735-745, 2004
632004
系統目前無法執行作業,請稍後再試。
文章 1–20