Follow
Xiaoxuan Yang
Title
Cited by
Cited by
Year
Research progress on memristor: From synapses to computing systems
X Yang, B Taylor, A Wu, Y Chen, LO Chua
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (5), 1845-1857, 2022
872022
ReTransformer: ReRAM-based processing-in-memory architecture for transformer acceleration
X Yang, B Yan, H Li, Y Chen
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
812020
Harnessing optoelectronic noises in a photonic generative network
C Wu, X Yang, H Yu, R Peng, I Takeuchi, Y Chen, M Li
Science advances 8 (3), eabm2956, 2022
362022
Multi-objective optimization of ReRAM crossbars for robust DNN inferencing under stochastic noise
X Yang, S Belakaria, BK Joardar, H Yang, JR Doppa, PP Pande, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
33*2021
Tolerating noise effects in processing‐in‐memory systems for neural networks: a hardware–software codesign perspective
X Yang, C Wu, M Li, Y Chen
Advanced Intelligent Systems 4 (8), 2200029, 2022
172022
Approximate computing and the efficient machine learning expedition
J Henkel, H Li, A Raghunathan, MB Tahoori, S Venkataramani, X Yang, ...
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
92022
Photonic bayesian neural network using programmed optical noises
C Wu, X Yang, Y Chen, M Li
IEEE Journal of Selected Topics in Quantum Electronics 29 (2: Optical …, 2022
92022
Hero: Hessian-enhanced robust optimization for unifying and improving generalization and quantization performance
H Yang, X Yang, NZ Gong, Y Chen
Proceedings of the 59th ACM/IEEE Design Automation Conference, 25-30, 2022
92022
ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-aware ReRAM-based In-Memory Training Systems
X Yang, H Yang, JR Doppa, PP Pande, K Chakrabarty, H Li
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022
72022
Biologically plausible learning on neuromorphic hardware architectures
C Wolters, B Taylor, E Hanson, X Yang, U Schlichtmann, Y Chen
2023 IEEE 66th International Midwest Symposium on Circuits and Systems …, 2023
32023
Neuro-Symbolic Computing: Advancements and Challenges in Hardware-Software Co-Design
X Yang, Z Wang, XS Hu, CH Kim, S Yu, M Pajic, R Manohar, Y Chen, ...
IEEE Transactions on Circuits and Systems II: Express Briefs, 2023
22023
Improving the robustness and efficiency of PIM-based architecture by SW/HW co-design
X Yang, S Li, Q Zheng, Y Chen
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
22023
On building efficient and robust neural network designs
X Yang, H Yang, J Zhang, HH Li, Y Chen
2022 56th Asilomar Conference on Signals, Systems, and Computers, 317-321, 2022
22022
Optical generative adversarial network based on programmable phase-change photonics
C Wu, X Yang, H Yu, I Takeuchi, Y Chen, M Li
2021 Conference on Lasers and Electro-Optics (CLEO), 1-2, 2021
22021
Memory Is All You Need: An Overview of Compute-in-Memory Architectures for Accelerating Large Language Model Inference
C Wolters, X Yang, U Schlichtmann, T Suzumura
arXiv preprint arXiv:2406.08413, 2024
2024
Weight Update Scheme for 1T1R Memristor Array Based Equilibrium Propagation
B Taylor, X Yang, H Li
2024 IEEE 6th International Conference on AI Circuits and Systems (AICAS …, 2024
2024
Block-Wise Mixed-Precision Quantization: Enabling High Efficiency for Practical ReRAM-based DNN Accelerators
X Wu, E Hanson, N Wang, Q Zheng, X Yang, H Yang, S Li, F Cheng, ...
arXiv preprint arXiv:2310.12182, 2023
2023
Improving the Efficiency and Robustness of In-Memory Computing in Emerging Technologies
X Yang
Duke University, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–18