Follow
sebastien kerdiles
sebastien kerdiles
CEA-LETI, Université Grenoble-Alpes
Verified email at cea.fr
Title
Cited by
Cited by
Year
Ultralow-loss tightly confining Si3N4 waveguides and high-Q microresonators
H El Dirani, L Youssef, C Petit-Etienne, S Kerdiles, P Grosse, C Monat, ...
Optics express 27 (21), 30726-30740, 2019
1532019
3DVLSI with CoolCube process: An alternative path to scaling
P Batude, C Fenouillet-Beranger, L Pasini, V Lu, F Deprat, L Brunet, ...
2015 Symposium on VLSI Technology (VLSI Technology), T48-T49, 2015
1522015
A versatile silicon-silicon nitride photonics platform for enhanced functionalities and applications
Q Wilmart, H El Dirani, N Tyler, D Fowler, S Malhouitre, S Garcia, ...
Applied Sciences 9 (2), 255, 2019
1162019
Annealing-free Si3N4 frequency combs for monolithic integration with Si photonics
H El Dirani, A Kamel, M Casale, S Kerdiles, C Monat, X Letartre, M Pu, ...
Applied Physics Letters 113 (8), 2018
1002018
First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300mm wafers
L Brunet, P Batude, C Fenouillet-Béranger, P Besombes, L Hortemel, ...
2016 IEEE symposium on VLSI technology, 1-2, 2016
952016
3D Sequential Integration: Application-driven technological achievements and guidelines
P Batude, L Brunet, C Fenouillet-Beranger, F Andrieu, JP Colinge, ...
2017 IEEE International Electron Devices Meeting (IEDM), 3.1. 1-3.1. 4, 2017
902017
Enhanced supercontinuum generation in integrated waveguides incorporated with graphene oxide films
Y Zhang, J Wu, Y Yang, Y Qu, L Jia, HE Dirani, S Kerdiles, ...
Advanced Materials Technologies 8 (9), 2201796, 2023
672023
New insights on bottom layer thermal stability and laser annealing promises for high performance 3D VLSI
C Fenouillet-Beranger, B Mathieu, B Previtali, MP Samson, N Rambal, ...
2014 IEEE International Electron Devices Meeting, 27.5. 1-27.5. 4, 2014
642014
Crack-free silicon-nitride-on-insulator nonlinear circuits for continuum generation in the C-band
H El Dirani, M Casale, S Kerdiles, C Socquet-Clerc, X Letartre, C Monat, ...
2018 IEEE Photonics Conference (IPC), 1-4, 2018
582018
Breakthroughs in 3D sequential technology
L Brunet, C Fenouillet-Beranger, P Batude, S Beaurepaire, F Ponthenier, ...
2018 IEEE International Electron Devices Meeting (IEDM), 7.2. 1-7.2. 4, 2018
572018
Functionalization of Silica Nanoparticles and Native Silicon Oxide with Tailored Boron-Molecular Precursors for Efficient and Predictive p-Doping of Silicon
L Mathey, T Alphazan, M Valla, L Veyre, H Fontaine, V Enyedi, K Yckache, ...
The Journal of Physical Chemistry C 119 (24), 13750-13757, 2015
382015
Nanosecond laser anneal (NLA) for Si-implanted HfO2 ferroelectric memories integrated in back-end of line (BEOL)
L Grenouillet, T Francois, J Coignus, S Kerdiles, N Vaxelaire, ...
2020 IEEE Symposium on VLSI Technology, 1-2, 2020
342020
Pulsed laser annealing for advanced technology nodes: Modeling and calibration
K Huet, J Aubin, PE Raynal, B Curvers, A Verstraete, B Lespinasse, ...
Applied Surface Science 505, 144470, 2020
332020
Developments in 300mm silicon photonics using traditional CMOS fabrication methods and materials
C Baudot, M Douix, S Guerber, S Crémer, N Vulliet, J Planchot, R Blanc, ...
2017 IEEE International Electron Devices Meeting (IEDM), 34.3. 1-34.3. 4, 2017
302017
Investigation of recrystallization and stress relaxation in nanosecond laser annealed Si1− xGex/Si epilayers
L Dagault, S Kerdilès, PA Alba, JM Hartmann, JP Barnes, P Gergaud, ...
Applied Surface Science 527, 146752, 2020
282020
Nanosecond laser annealing for phosphorous activation in ultra-thin implanted silicon-on-insulator substrates
PA Alba, S Kerdiles, B Mathieu, R Kachtouli, F Mazzamuto, ...
2016 21st International Conference on Ion Implantation Technology (IIT), 1-4, 2016
232016
High performance low temperature activated devices and optimization guidelines for 3D VLSI integration of FD, TriGate, FinFET on insulator
L Pasini, P Batude, M Cassé, B Mathieu, B Sklenard, FP Luce, S Reboh, ...
2015 Symposium on VLSI Technology (VLSI Technology), T50-T51, 2015
232015
Impact of UV nanosecond laser annealing on composition and strain of undoped Si0. 8Ge0. 2 epitaxial layers
L Dagault, P Acosta-Alba, S Kerdilès, JP Barnes, JM Hartmann, ...
ECS Journal of Solid State Science and Technology 8 (3), P202, 2019
222019
Solid phase recrystallization induced by multi-pulse nanosecond laser annealing
PA Alba, J Aubin, S Perrot, F Mazzamuto, A Grenier, S Kerdilès
Applied Surface Science Advances 3, 100053, 2021
192021
A review of low temperature process modules leading up to the first (≤ 500° C) planar FDSOI CMOS devices for 3-D sequential integration
C Fenouillet-Beranger, L Brunet, P Batude, L Brevard, X Garros, M Casse, ...
IEEE Transactions on Electron Devices 68 (7), 3142-3148, 2021
182021
The system can't perform the operation now. Try again later.
Articles 1–20