Follow
Ning Liu
Ning Liu
Midea Group, AI Innovation Center (current); DiDi; Northeastern University; Syracuse University
Verified email at midea.com
Title
Cited by
Cited by
Year
A hierarchical framework of cloud resource allocation and power management using deep reinforcement learning
N Liu, Z Li, J Xu, Z Xu, S Lin, Q Qiu, J Tang, Y Wang
2017 IEEE 37th international conference on distributed computing systems …, 2017
3222017
CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices
C Ding, S Liao, Y Wang, Z Li, N Liu, Y Zhuo, C Wang, X Qian, Y Bai, ...
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
3112017
AutoCompress: An automatic dnn structured pruning framework for ultra-high compression rates
N Liu, X Ma, Z Xu, Y Wang, J Tang, J Ye
Proceedings of the AAAI Conference on Artificial Intelligence, 2020, 2019
2052019
Deep reinforcement learning for dynamic treatment regimes on medical registry data
Y Liu, B Logan, N Liu, Z Xu, J Tang, Y Wang
2017 IEEE international conference on healthcare informatics (ICHI), 380-385, 2017
1282017
REQ-YOLO: A resource-aware, efficient quantization framework for object detection on FPGAs
C Ding, S Wang, N Liu, K Xu, Y Wang, Y Liang
proceedings of the 2019 ACM/SIGDA international symposium on field …, 2019
1102019
VIBNN: Hardware acceleration of Bayesian neural networks
R Cai, A Ren, N Liu, C Ding, L Wang, X Qian, M Pedram, Y Wang
ACM SIGPLAN Notices 53 (2), 476-488, 2018
1052018
FFT-based deep learning deployment in embedded systems
S Lin, N Liu, M Nazemi, H Li, C Ding, Y Wang, M Pedram
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2018
572018
Sanity Checks for Lottery Tickets: Does Your Winning Ticket Really Win the Jackpot?
X Ma, G Yuan, X Shen, T Chen, X Chen, X Chen, N Liu, M Qin, S Liu, ...
Advances in Neural Information Processing Systems (NeurIPS), 2021
552021
A stochastic-computing based deep learning framework using adiabatic quantum-flux-parametron superconducting technology
R Cai, A Ren, O Chen, N Liu, C Ding, X Qian, J Han, W Luo, N Yoshikawa, ...
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
442019
MEST: Accurate and Fast Memory-Economic Sparse Training Framework on the Edge
G Yuan, X Ma, W Niu, Z Li, Z Kong, N Liu, Y Gong, Z Zhan, C He, Q Jin, ...
Advances in Neural Information Processing Systems (NeurIPS), 2021
382021
Learning the dynamic treatment regimes from medical registry data through deep Q-network
N Liu, Y Liu, B Logan, Z Xu, J Tang, Y Wang
Scientific reports 9 (1), 1495, 2019
342019
StructADMM: A systematic, high-efficiency framework of structured weight pruning for DNNs
T Zhang, S Ye, K Zhang, X Ma, N Liu, L Zhang, J Tang, K Ma, X Lin, ...
arXiv preprint arXiv:1807.11091, 2018
322018
Lottery ticket preserves weight correlation: Is it desirable or not?
N Liu, G Yuan, Z Che, X Shen, X Ma, Q Jin, J Ren, J Tang, S Liu, Y Wang
International Conference on Machine Learning, 7011-7020, 2021
312021
A majority logic synthesis framework for adiabatic quantum-flux-parametron superconducting circuits
R Cai, O Chen, A Ren, N Liu, C Ding, N Yoshikawa, Y Wang
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 189-194, 2019
302019
Improving dnn fault tolerance using weight pruning and differential crossbar mapping for reram-based edge ai
G Yuan, Z Liao, X Ma, Y Cai, Z Kong, X Shen, J Fu, Z Li, C Zhang, H Peng, ...
2021 22nd International Symposium on Quality Electronic Design (ISQED), 135-141, 2021
292021
ADMM-based weight pruning for real-time deep learning acceleration on mobile devices
H Li, N Liu, X Ma, S Lin, S Ye, T Zhang, X Lin, W Xu, Y Wang
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 501-506, 2019
282019
Deep reinforcement learning: Algorithm, applications, and ultra-low-power implementation
H Li, R Cai, N Liu, X Lin, Y Wang
Nano Communication Networks 16, 81-90, 2018
282018
Structured weight matrices-based hardware accelerators in deep neural networks: Fpgas and asics
C Ding, A Ren, G Yuan, X Ma, J Li, N Liu, B Yuan, Y Wang
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 353-358, 2018
262018
Dais: Automatic channel pruning via differentiable annealing indicator search
Y Guan, N Liu, P Zhao, Z Che, K Bian, Y Wang, J Tang
IEEE Transactions on Neural Networks and Learning Systems, 2022
252022
Multisource indoor energy harvesting for nonvolatile processors
C Ding, N Liu, Y Wang, J Li, S Heidari, J Hu, Y Liu
IEEE Design & Test 34 (3), 42-49, 2017
252017
The system can't perform the operation now. Try again later.
Articles 1–20