Follow
Xingquan Li
Xingquan Li
PhD, Peng Cheng Laboratory, Minnan Normal University;
Verified email at pcl.ac.cn - Homepage
Title
Cited by
Cited by
Year
Mixed-cell-height legalization considering technology and region constraints
Z Zhu, J Chen, W Zhu, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
332020
Using Cache Optimization Method to Reduce Network Traffic in Communication Systems Based on Cloud Computing
TYW Lanlan Kang, Ruey-Shun Chen, Yeh-Cheng Chen, Chung-Chei Wang, Xingguan Li
IEEE ACCESS 7 (1), 124397--124409, 2019
262019
Mixed-cell-height placement with complex minimum-implant-area constraints
J Chen, Z Lin, Y Xie, W Zhu, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
222021
Analytical mixed-cell-height legalization considering average and maximum movement minimization
X Li, J Chen, W Zhu, YW Chang
Proceedings of the 2019 International Symposium on Physical Design, 27-34, 2019
222019
Discrete relaxation method for triple patterning lithography layout decomposition
X Li, Z Zhu, W Zhu
IEEE Transactions on Computers 66 (2), 285-298, 2016
222016
Sparse VLSI layout feature extraction: A dictionary learning approach
H Geng, H Yang, B Yu, X Li, X Zeng
2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 488-493, 2018
142018
Timing-aware fill insertions with design-rule and density constraints
X Bai, Z Zhu, P Li, J Chen, T Lan, X Li, J Yu, W Zhu, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
72021
Two-stage layout decomposition for hybrid e-beam and triple patterning lithography
X Li, W Zhu
ACM Transactions on Design Automation of Electronic Systems (TODAES) 23 (1 …, 2017
72017
Graph-based redundant via insertion and guiding template assignment for DSA-MP
X Li, B Yu, J Ou, J Chen, DZ Pan, W Zhu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (11 …, 2018
52018
Unified contamination-aware routing method considering realistic washing capacity constraint in digital microfluidic biochips
Z Huang, X Bai, T Lan, X Li, G Lin
IEEE Access 8, 192867-192879, 2020
32020
iEDA: An Open-source infrastructure of EDA
X Li, Z Huang, S Tao, Z Huang, C Zhuang, H Wang, Y Li, Y Qiu, G Luo, ...
2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC), 77-82, 2024
22024
Handling orientation and aspect ratio of modules in electrostatics-based large scale fixed-outline floorplanning
F Huang, D Liu, X Li, B Yu, W Zhu
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-9, 2023
22023
iEDA: An open-source intelligent physical implementation toolkit and library
X Li, S Tao, Z Huang, S Chen, Z Zeng, L Ni, Z Huang, C Zhuang, H Wu, ...
arXiv preprint arXiv:2308.01857, 2023
22023
Weight Uncertainty in Transformer Network for the Traveling Salesman Problem
J Zhao, B Xie, X Li
2023 International Symposium of Electronics Design Automation (ISEDA), 219-224, 2023
22023
Exploiting architecture advances for sparse solvers in circuit simulation
Z Yan, B Xie, X Li, Y Bao
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 837-842, 2022
22022
Toward graph classification on structure property using adaptive motif based on graph convolutional network
X Li, H Wu
The Journal of Supercomputing 77, 8767-8786, 2021
22021
Discrete relaxation method for hybrid e-beam and triple patterning lithography layout decomposition
X Li, J Li, H Wu, YC Chen
Journal of Ambient Intelligence and Humanized Computing, 1-11, 2021
22021
Block diagonal dominance-based dynamic programming for detecting community
X Li, C Cao, T Zhang
The Journal of Supercomputing 76 (11), 8627-8640, 2020
22020
Discrete relaxation based layout decomposition for triple patterning lithography
X Li, Z Zhu, W Zhu
IEEE Trans. Comput 66 (2), 1, 2016
22016
iPD: An Open-source intelligent Physical Design Toolchain
X Li, S Tao, S Chen, Z Zeng, Z Huang, H Wu, W Li, Z Huang, L Ni, X Zhao, ...
2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC), 83-88, 2024
12024
The system can't perform the operation now. Try again later.
Articles 1–20