Follow
Kyung Min Kim
Kyung Min Kim
Associate Professor, Department of Materials Science and Engineering, KAIST
Verified email at kaist.ac.kr - Homepage
Title
Cited by
Cited by
Year
Atomic structure of conducting nanofilaments in TiO2 resistive switching memory
DH Kwon, KM Kim, JH Jang, JM Jeon, MH Lee, GH Kim, XS Li, GS Park, ...
Nature nanotechnology 5 (2), 148-153, 2010
23392010
Nanofilamentary resistive switching in binary oxide system; a review on the present status and outlook
KM Kim, DS Jeong, CS Hwang
Nanotechnology 22 (25), 254002, 2011
7312011
Anode-interface localized filamentary mechanism in resistive switching of thin films
KM Kim, BJ Choi, YC Shin, S Choi, CS Hwang
Applied physics letters 91 (1), 012907, 2007
5022007
High dielectric constant TiO2 thin films on a Ru electrode grown at 250 C by atomic-layer deposition
SK Kim, WD Kim, KM Kim, CS Hwang, J Jeong
Applied physics letters 85 (18), 4112-4114, 2004
3972004
Memristors for energy‐efficient new computing paradigms
DS Jeong, KM Kim, S Kim, BJ Choi, CS Hwang
Advanced Electronic Materials 2 (9), 1600090, 2016
3482016
An artificial nociceptor based on a diffusive memristor
JH Yoon, Z Wang, KM Kim, H Wu, V Ravichandran, Q Xia, CS Hwang, ...
Nature communications 9 (1), 417, 2018
3382018
Localized switching mechanism in resistive switching of atomic-layer-deposited TiO 2 thin films
KM Kim, BJ Choi, CS Hwang
Applied physics letters 90 (24), 242906-242906-3, 2007
2712007
Physical electro-thermal model of resistive switching in bi-layered resistance-change memory
S Kim, SJ Kim, KM Kim, SR Lee, M Chang, E Cho, YB Kim, CJ Kim, ...
Scientific reports 3, 1680, 2013
2642013
A detailed understanding of the electronic bipolar resistance switching behavior in Pt/TiO2/Pt structure
KM Kim, BJ Choi, MH Lee, GH Kim, SJ Song, JY Seok, JH Yoon, S Han, ...
Nanotechnology 22 (25), 254010, 2011
2222011
Low-Power, Self-Rectifying, and Forming-Free Memristor with an Asymmetric Programing Voltage for a High-Density Crossbar Application
KM Kim, J Zhang, C Graves, JJ Yang, BJ Choi, CS Hwang, Z Li, ...
Nano letters 16 (11), 6724-6732, 2016
2052016
Pt/Ta2O5/HfO2− x/Ti resistive switching memory competing with multilevel NAND flash
JH Yoon, KM Kim, SJ Song, JY Seok, KJ Yoon, DE Kwon, TH Park, ...
Advanced Materials 27 (25), 3811-3816, 2015
1862015
Multi-level switching of triple-layered TaOx RRAM with excellent reliability for storage class memory
SR Lee, YB Kim, M Chang, KM Kim, CB Lee, JH Hur, GS Park, D Lee, ...
2012 Symposium on VLSI Technology (VLSIT), 71-72, 2012
1822012
Electrically configurable electroforming and bipolar resistive switching in Pt/TiO2/Pt structures
KM Kim, GH Kim, SJ Song, JY Seok, MH Lee, JH Yoon, CS Hwang
Nanotechnology 21 (30), 305203, 2010
1762010
The conical shape filament growth model in unipolar resistance switching of TiO2 thin film
KM Kim, CS Hwang
Applied Physics Letters 94 (12), 122109, 2009
1742009
A Pt/TiO2/Ti Schottky-type selection diode for alleviating the sneak current in resistance switching memory arrays
WY Park, GH Kim, JY Seok, KM Kim, SJ Song, MH Lee, CS Hwang
Nanotechnology 21 (19), 195201, 2010
1712010
Resistive Switching in Pt∕ Al2O3∕ TiO2∕ Ru Stacked Structures
KM Kim, BJ Choi, BW Koo, S Choi, DS Jeong, CS Hwang
Electrochemical and solid-state letters 9 (12), G343, 2006
1532006
Study on the resistive switching time of thin films
BJ Choi, S Choi, KM Kim, YC Shin, CS Hwang, SY Hwang, S Cho, S Park, ...
Applied physics letters 89 (1), 012906, 2006
1532006
Nociceptive Memristor
Y Kim, YJ Kwon, DE Kwon, KJ Yoon, JH Yoon, S Yoo, HJ Kim, TH Park, ...
Advanced Materials 30 (8), 1704320, 2018
1452018
Voltage divider effect for the improvement of variability and endurance of TaOx memristor
KM Kim, JJ Yang, JP Strachan, EM Grafals, N Ge, ND Melendez, Z Li, ...
Scientific reports 6, 20085, 2016
1362016
Self‐Limited Switching in Ta2O5/TaOx Memristors Exhibiting Uniform Multilevel Changes in Resistance
KM Kim, SR Lee, S Kim, M Chang, CS Hwang
Advanced Functional Materials 25 (10), 1527-1534, 2015
1272015
The system can't perform the operation now. Try again later.
Articles 1–20